에듀이노-코딩교육 전문 쇼핑몰 에듀이노-코딩교육 전문 쇼핑몰

학교, 공공기관이라면 후불결제하세요!

 
현재 위치
  1. 게시판
  2. 묻고답하기

묻고답하기

기술문의 게시판입니다.

게시판 상세
제목 컴파일 에러뜨네요
작성자 ㅠㅠ (ip:)
  • 작성일 2020-12-09
  • 추천 추천하기
  • 조회수 280




1. 아두이노 라이브러리 폴더에, 예제 라이브러리 압축푼거 추가함


2. 예제파일 실행 후 라이브러리 zip 추가, 예제파일 화면에도 #include 되게 추가함


3.  포트번호 확인 후 변경


4. 아두이노 /genuino uno로 설정함




하라는 거 다 했는데 왜 자꾸 컴파일 에러가 뜨네요..

왜 이럴까요 내일 아침까지 완성해야되는데


추신) 프로그래밍 전체 사진 찍은 거 중 메모장 글 = 오류 메세지 복사한 거 입니다

게시글 신고하기

신고사유

신고해주신 내용은 쇼핑몰 운영자의 검토 후 내부 운영 정책에 의해 처리가 진행됩니다.

평점 0점
첨부파일 image.jpg , image.jpg , image.jpg , image.jpg
비밀번호 수정 및 삭제하려면 비밀번호를 입력하세요.
댓글 수정

비밀번호 :

수정 취소

/ byte

비밀번호 : 확인 취소

댓글 입력

댓글달기이름 :비밀번호 : 관리자답변보기

확인

/ byte

왼쪽의 문자를 공백없이 입력하세요.(대소문자구분)

에게만 댓글 작성 권한이 있습니다.

댓글 입력

댓글달기이름 :비밀번호 :

확인

/ byte

왼쪽의 문자를 공백없이 입력하세요.(대소문자구분)

에게만 댓글 작성 권한이 있습니다.

«
»